Welcome![Sign In][Sign Up]
Location:
Search - cpu vhdl

Search list

[Software Engineeringcpu-16-vhdl

Description: 16位cpu的vhdl源代码。 自己看看,没有注释。-16 cpu vhdl the source code. See for yourself, not Notes.
Platform: | Size: 94995 | Author: 童宗挺 | Hits:

[Other resourceLC3-VHDL-another

Description: 另一套LC3 CPU VHDL源码及设计文档,对LC3进行了一些取舍和改造,比如NZP改为NZC,更贴近现实CPU硬件架构。按照ASM进行VHDL编码,更适合数字设计初学者学习。
Platform: | Size: 809247 | Author: guo | Hits:

[VHDL-FPGA-VerilogMIIPS CPU VHDL源代码

Description: 该文件是一个较简单的MIIPS CPU的Verilog 源代码
Platform: | Size: 94951 | Author: wangboch@126.com | Hits:

[VHDL-FPGA-Verilog8bit Cpu designing

Description: CPU具有的功能:能完成一些简单的指令 MOV AX,ADDRESS4 --将address4中的内容赋给AX寄存器(在8086/8088汇编语言中称这种寻址方式为直接寻址方式) ADD AX,ADDRESS4 -- 将address4中的内容加到AX寄存器中 SUB AX,ADDRESS4 -- 用address4中的内容减去AX寄存器中的内容 OUT -- 输出AX寄存器中的内容 HLT -- CPU停止运行
Platform: | Size: 103424 | Author: kinglord2006@sina.com | Hits:

[VHDL-FPGA-Verilog靳远-源程序

Description: 几个VHDL的源代码和和一个本人编写的5级流水线RISC CPU的代码-several VHDL source code, and in my preparation of a five pipelined RISC CPU code
Platform: | Size: 443392 | Author: core_design | Hits:

[VHDL-FPGA-VerilogCOP2000

Description: cpu微命令vhdl源代码-cpu-order VHDL source code
Platform: | Size: 5120 | Author: | Hits:

[VHDL-FPGA-Verilog8051source_2_8

Description: 这是兼容的8051 VHDL CPU实现,应该不算侵权吧。 上帝保佑!-This is compatible CPU 8051 VHDL, it is not a tort. God bless!
Platform: | Size: 34816 | Author: 张念华 | Hits:

[VHDL-FPGA-VerilogCPU

Description: 用VHDL编的简易16位和8位CPU,可完成加减乘法移位等功能,拥有源码和设计文档,资料齐全-Compiled with VHDL simple 16-bit and 8-bit CPU, to be completed by addition and subtraction multiplication shift functions, with source code and design documents, data and complete
Platform: | Size: 1489920 | Author: 雄鹰 | Hits:

[VHDL-FPGA-Verilogcpu

Description: 设计以及基本的CPU,至少包括四个基本单元,控制单元,内部寄存器,ALU和指令集-The purpose of this project is to design a simple CPU (Central Processing Unit). This CPU has basic instruction set, and we will utilize its instruction set to generate a very simple program to verify its performance. For simplicity, we will only consider the relationship among the CPU, registers, memory and instruction set. That is to say we only need consider the following items: Read/Write Registers, Read/Write Memory and Execute the instructions. At least four parts constitute a simple CPU: the control unit, the internal registers, the ALU and instruction set, which are the main aspects of our project design and will be studied.
Platform: | Size: 2196480 | Author: mollyma | Hits:

[VHDL-FPGA-VerilogCPU

Description: 八位简单risc cpu 设计的源代码,VHDL语言写的-8 Simple risc cpu design source code, VHDL language written
Platform: | Size: 215040 | Author: yishi | Hits:

[Embeded-SCM DevelopCPU

Description: 利用VHDL语言 开发设计一个小型CPU -Development and design using VHDL, a small CPU
Platform: | Size: 201728 | Author: 隐士 | Hits:

[VHDL-FPGA-VerilogCPU

Description: 32位5级流水线CPU设计指令系统、指令格式、寻址方式、寄存器结构、数据表示方式、存储器系统、运算器、控制器和流水线结构等-32bit pipeline CPU
Platform: | Size: 187392 | Author: znl | Hits:

[VHDL-FPGA-VerilogCPU

Description: 包含CPU每部分器件的编写,通过改写RAM内容,可实现CPU简单运算的仿真-Some devices include the preparation of each CPU, RAM by rewriting the content, enabling easy operation simulation CPU
Platform: | Size: 2151424 | Author: Sophie | Hits:

[Othercpu

Description: 包括1) 时钟发生器 2) 指令寄存器 3) 累加器 4) RISC CPU算术逻辑运算单元 5) 数据控制器 6) 状态控制器 7) 程序计数器 8) 地址多路器 -1) clock generator 2) instruction register 3) accumulator 4) RISC CPU arithmetic logical unit 5) of the data controller 6) state controller 7), the program counter 8) address multiplexer
Platform: | Size: 440320 | Author: liuying | Hits:

[VHDL-FPGA-Verilogcpu

Description: 16位的CPU的VHDL程序~~还有附加的生成波形,可以应用于课程设计中-16-bit CPU, VHDL ~ ~ There are additional procedures for generating waveforms, can be applied to curriculum design
Platform: | Size: 1053696 | Author: liuying | Hits:

[VHDL-FPGA-VerilogCPU

Description: quartus7.2下以VHDL编程,分为多个模块,在链接原理图中编译。-quartus7.2 next to VHDL programming is divided into multiple modules, compile the schematic in the link.
Platform: | Size: 1911808 | Author: xy | Hits:

[VHDL-FPGA-VerilogCPU

Description: 16位简单cpu用VHDL语言实现。里面有好几个的》-16-bit cpu with a simple VHDL language. There are several of the "
Platform: | Size: 3181568 | Author: pjj | Hits:

[VHDL-FPGA-VerilogCPU

Description: 一个多周期CPU的完整设计,quartus平台,Verilog实现,内含实验报告,和详细的各模块功能表-Complete a multi-cycle CPU design, quartus platform, Verilog implementation, includes lab reports, and a detailed menu of each module
Platform: | Size: 6606848 | Author: | Hits:

[VHDL-FPGA-Verilogcpu

Description: 实现一个简单的8位cpu,具有基本的运算指令和控制指令,可扩展-Implement a simple 8-bit cpu, have a basic command and control operations instruction, scalable
Platform: | Size: 837632 | Author: 李志灿 | Hits:

[VHDL-FPGA-VerilogCPU_16bit

Description: 一个五段流水的16位cpu vhdl源码,可综合也可仿真(A five section of the 16 bit CPU VHDL source code, can be integrated can also be simulated)
Platform: | Size: 3410944 | Author: sunrihui | Hits:
« 1 2 34 5 6 7 8 9 10 ... 27 »

CodeBus www.codebus.net